![]() 高温真空チャックアセンブリ
专利摘要:
真空チャック及びこの真空チャックを備えた処理チャンバを提供する。真空チャックアセンブリは、支持体本体と、複数の突起と、複数のチャネルと、支持体本体を支持する少なくとも1つの支持部材と、支持部材に連結された少なくとも1つの弾性部材と、支持体本体を支持する中空シャフトと、中空シャフト内に配置された少なくとも1つの電気コネクタと空冷装置を備える。支持体本体は、基板(ウェハ等)を保持するための支持面を有する。基板と支持面との間に間隙を形成するために突起が支持面上に形成され、また支持面から突出している。間隙内を減圧するために支持面にはチャネルが形成される。空冷装置を使用することによって、電気コネクタ付近を空冷する。 公开号:JP2011510488A 申请号:JP2010542317 申请日:2009-01-07 公开日:2011-03-31 发明作者:ブレイク コエルメル;メヘラン ベヘドジャト;アレクサンダー;エヌ ラーナー 申请人:アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated; IPC主号:H01L21-683
专利说明:
[0001] (技術分野) 本発明の実施形態は概して、半導体製造、特には処理チャンバにおいて使用するための真空チャックアセンブリに関する。] [0002] (関連技術の説明) 集積回路(IC)の製造においては基板を高温に加熱するため、様々な化学的及び/又は物理的な反応が起こる。熱処理は通常、基板の加熱に使用される。典型的な熱処理(例えば、アニーリング)では、比較的大量の熱エネルギーを短時間で基板に供給し、その後、ウェハを急冷することによって熱処理を終了することを必要とする。現在使用されている熱処理の例には、急速加熱処理(Rapid Thermal Processing:RTP)、インパルス(スパイク)アニーリング、走査レーザーアニール技法及びパルスレーザー技法が含まれる。加熱された真空チャックは一般に、これらの熱処理中に処理チャンバ内で基板を固定するのに使用される。] [0003] 基板表面の平坦性は、集積回路の製造を通じて、特にパルスレーザーアニール処理(動的表面アニール(dynamic surface anneal:DSA)処理等)中、極めて重要である。DSA処理中、基板をレーザーが発する放射線で走査することによってアニーリング処理を促進する。アニールレーザーの焦点深度は、ウェハ表面の平坦性を含む幾つかの要因に大きく左右される。このため、ウェハを保持する真空チャックの支持面は、可能な限り平坦でなくてはならない。ウェハ表面の平坦性を確保するために真空チャックの支持面全体を平坦化にするにあたっては、総じて多大な労力を要する。加えて、加熱された真空チャックは、チャック中心部の温度が上昇しチャック周縁部で熱を損失するに従って上方向に湾曲する傾向が強い。チャックの反りは、その上に保持されたウェハの反りを引き起こす場合があり、ウェハ表面の平坦性が大幅に低下する。また、加熱された真空チャックに連結された電気コネクタが、処理チャンバにおける過熱によって損傷したり溶融する場合がある。] [0004] 従って、真空チャックが有する上記の欠点を改善するための真空チャックアセンブリ及びこの真空チャックアセンブリを備えた処理チャンバを得ることが望ましい。] [0005] 本発明の実施形態は概して、半導体製造、特には処理チャンバで使用するための真空チャックアセンブリに関する。] [0006] 本発明の一実施形態により、ウェハ等の基板を保持するための支持面を有する支持体本体と、この支持体本体を支持する少なくとも1つの支持部材と、この少なくとも1つの支持部材に連結された少なくとも1つの弾性部材とを備える真空チャックアセンブリが提供される。加熱中、支持体本体は上方向に湾曲し、弾性部材は、支持体本体を下方向に引っ張ることでチャックの上方向への湾曲に対抗する制御力を付与する。] [0007] 別の実施形態において、真空チャックアセンブリは更に、基板を保持するための支持面を有する支持体本体と、この支持面上に形成された、基板と支持面との間に間隙を形成するための支持面から突出した複数の突起と、基板の背面に沿って低い圧力を均一に分布させるための、支持面上に形成された複数のチャネルとを備える。] [0008] 別の実施形態において、真空チャックアセンブリは更に、中空シャフトを通して配置された少なくとも1つの電気コネクタアセンブリを備え、この電気コネクタアセンブリは電気コネクタと、電気コネクタ付近を空冷することによって電気コネクタの過熱を防止するための1本以上の冷却チャネルを有するレセプタクルとを備える。] [0009] 別の実施形態により、真空チャックアセンブリを備えた処理チャンバが提供される。処理チャンバは、チャンバ取り付け台と、基板を保持するための支持面を有する支持体本体と、この支持面上に形成された、基板と支持面との間に間隙を形成するための支持面から突出した複数の突起とを備え、各突起は、基板と接触する平坦化された上面を有し、チャンバは更に、基板の背面に沿って圧力を均一に分布させるための支持面上に形成された複数のチャネルと、支持体本体を支持する少なくとも1つの支持部材と、チャンバ取り付け台と少なくとも1つの支持部材との間に連結された少なくとも1つの弾性部材とを備える。] 図面の簡単な説明 [0010] 本発明の上記の構成が詳細に理解されるように、上記で簡単に要約した本発明のより具体的な説明を実施形態を参照して行う。実施形態の一部は添付図面に図示されている。しかしながら、添付図面は本発明の典型的な実施形態しか図示しておらず、本発明はその他の同等に効果的な実施形態も含み得ることから、本発明の範囲を制限すると解釈されないことに留意すべきである。 本発明の一実施形態による真空チャックアセンブリを備えた処理チャンバの概略断面図である。 本発明の一実施形態による真空チャックアセンブリの概略断面図である。 本発明の一実施形態による真空チャックアセンブリの概略上面図である。 〜 本発明の一実施形態による突起の例示的な形状の概略図である。 〜 本発明の一実施形態による突起の別の例示的な形状の概略図である。 本発明の一実施形態よる真空チャックアセンブリの概略底面図である。 本発明の一実施形態による図1の真空チャックアセンブリの拡大部分断面図である。 本発明の一実施形態による電気コネクタの概略断面図である。 本発明の一実施形態による加熱要素を備えた真空チャックアセンブリの概略部分断面図である。] 図1 [0011] 円滑な理解のために、可能な限り、図面で共通する同一要素は同一参照番号を使用して表した。一実施形態において開示の要素は、特に記載することなく、その他の実施形態で便宜上利用することも考えられる。] 詳細な説明 [0012] 本発明の実施形態は概して、加熱の結果としての支持体本体の湾曲を相殺することによって支持面の平坦性を確保するのに使用される弾性部材に関する。本発明の実施形態は更に、空冷を利用することによって、アニーリング処理中の電気コネクタの過熱及び溶融を防止する電気コネクタアセンブリを含む。本発明の実施形態は更に、支持面と基板(ウェハ等)との表面接触面積を減少させることによって基板の平坦性を確保し且つアニールレーザーの焦点深度を改善するための、支持体本体の支持面上に形成された複数の突起を含む。] [0013] 本発明を、レーザー表面アニールチャンバに関連させて以下にて説明する。しかしながら、本発明で開示の教示は、高温で稼動させるその他のタイプのチャンバを含む多種多様な処理チャンバ、特に、レーザーをアニーリング手段として使用する、半導体ウェハ処理システムの一部となり得る処理チャンバに有益である(カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能なセンチュラ(CENTURA、商標名)等)。その他の製造業者から入手可能なものを含めたその他の処理チャンバを本発明が有益となるように構成することも考えられる。] [0014] 図1及び図2を参照するが、図1は本発明の一実施形態による真空チャックアセンブリ150を備えた処理チャンバ100の概略断面図であり、図2は、本発明の一実施形態による真空チャックアセンブリ150の概略断面図である。真空チャックアセンブリ150は、基板の平坦性を維持することによって基板処理の向上を促進する。] 図1 図2 [0015] 一実施形態において、処理チャンバ100はレーザーアニールチャンバである。処理チャンバ100はチャンバ本体102を備える。チャンバ本体102は、処理容積112を画成する側壁106と、底部108とウィンドウ110とを有する。処理容積112には、典型的には、側壁106のスリットバルブ158を介してアクセスし、このスリットバルブは基板140(以下、基板140と称する)のチャンバ本体102内外への移動を促進する。特定の実施形態において、基板140はウェハであり、例えば半導体処理において使用されるウェハである。チャンバ本体102の側壁106及び底部108は一般に、アルミニウム又は処理中に起こる化学反応に耐えるその他の材料の単塊から作製される。チャンバ100の底部108は支持片170を備え、支持片170はその内部に形成された1本以上の冷却チャネル172を有する。1本以上の冷却チャネル172は、冷却液又はガスを1本以上の冷却チャネル172に供給するように構成された冷却流体供給源190に連結される。支持片170はステンレススチールを含み得る。一実施形態において、支持片170は、放射率を上昇させるために、基板の背面に面した光学反射性の表面を有する。1本以上の支持ピン174が、支持片170の表面に連結され且つ支持片170の表面から上方に延びる。チャンバ100の底部108は、底部108を貫通して形成されたポンピングポート114を有し、このポンピングポート114によって処理容積112がポンピングシステム116に連結され、処理容積112内の圧力の制御並びに処理中のガス及び副生成物の排出が円滑に行なわれる。] [0016] ウィンドウ110はチャンバ本体102の側壁106によって支持され、またチャンバ100の内部の点検の際に取り外し可能である。一実施形態において、ウィンドウ110は、石英等の材料を含む。] [0017] 処理ガス及びその他のガスを、ガス供給源120に連結されたガス源118から処理容積112に導入し得る。一実施形態において、ガス源118は、基板140の表面全体にガスが均一に流れるように位置決めされる。一実施形態において、ガス源は側壁106内に位置決めされる。] [0018] レーザー130はウィンドウ110上方に配置される。本願に記載の実施形態で使用し得るレーザーについては、2002年4月18日に出願され、共通して譲渡された米国特許出願第10/126419号であり、米国特許第7078651号として発行された「Thermal Flux Process by Scanning」に詳しく記載されており、この文献は引用により本願に組み込まれる。一実施形態において、レーザー110は、レーザー110を基板140の表面全体に亘って移動させるための平行移動機構に連結される。] [0019] 真空チャックアセンブリ150は、チャンバ本体102内の中央に配置され、処理中、基板140を支持する。真空チャックアセンブリ150は一般に、チャンバ底部108を貫通して延びるシャフト154によって支持された支持体本体152を含む。支持体本体152は一般に円形であり、石英、セラミック(例えば、アルミナ)等の材料又はこれらの組み合わせから作製される。一実施形態において、支持体本体152には、少なくとも1つの埋設加熱要素156が封入される。加熱要素156(電極、抵抗加熱要素等)は、電気コネクタアセンブリ160を介して電源に連結されており、支持体本体152及びその上に位置決めされた基板140を既定の温度にまで制御下で加熱する。一実施形態において、加熱要素156は基板140を、処理中、約20℃〜750℃に加熱する。] [0020] 図3は、本発明の一実施形態による真空チャックアセンブリの概略上面図である。支持体本体152は、基板140を支持するための上面又は支持面310を有する。複数の突起312が支持面310上に形成され、処理中、この上に基板140が載置される。] 図3 [0021] 一実施形態において、突起312は全て同じ高さに形成され、基板140の背面と接触する平坦化された上面を有し、突起312の上面の面積の合計は支持面310の面積よりはるかに小さいことから、支持体本体152と基板140との接触面積が小さくなる。支持体本体152と基板140との接触面積が小さく、この実施形態は、慣用の真空チャックにおいて行なっていたような支持面310全体の平坦化ではなく突起の平坦化しか必要としないことから、支持面310の平坦化が簡略化される。更に、支持体本体と基板との接触面積が小さいと、支持体本体152の支持面310によって引き起こされる粒子汚染の可能性が低下する。一実施形態において、突起312の高さは約10ミクロン〜約50ミクロン、例えば約25ミクロンであり、突起312の幅又は直径は約500ミクロン〜約5000ミクロンである。一実施形態において、複数の突起312及び支持面310は一体であり、例えば支持体本体152の表面の機械加工又はビードブラスト加工によって形成される。別の実施形態において、複数の突起312は別に形成され、当該分野で公知の取り付け技法(ロウ付け、接着剤での接合等)を使用して支持面310に連結される。別の実施形態において、突起は、堆積法及びマスクパターンを使用して支持面上に堆積される。一実施形態において、支持面310は直径300mmであり、上に載置する基板の背面の表面積の約10%を占める100〜500個、例えば150〜200個の突起を有する。一実施形態において、突起312は支持面310全体に実質的に直線的に配置される。別の実施形態において、突起312は、支持面の中心から広がる放射状パターンに配置される。別の実施形態において、突起は、支持面310全体に広がるx−y格子状パターンに配置される。一実施形態において、支持面310は直径200mmである。図では円形としたが、支持面310が正方形や長方形といったその他の形状も含み得ることを理解すべきである。] [0022] 図4A〜4C、5A及び5Bは、本発明の実施形態に応用可能な突起の例示的な形状を示す。基板に傷がつくのを防止するため、突起の形状は、図4Aにおける平坦化された上面313aを有する半球様の突起312a等であり、図4Bに示されるような円形の土台上又は図4Cに示されるような四角形の土台上に形成することもでき、或いは角を丸めた上面を有する四角形の土台、例えば図5A及び5Bに示されるような平坦化された上面313bを有する突起312bである。上記の突起の形状、サイズ及びパターンは例として挙げたにすぎず、本発明はこれに限定されない。複数の突起312は、円筒形のマウンド、ポスト、角錐、円錐、矩形ブロック、様々なサイズの突起、レーザーアニール処理中の焦点深度を向上させるその他いずれの形状及びこれらの組み合わせを含み得る。] 図4A 図4B 図4C 図5A [0023] 図1及び図3に戻るが、突起312は、基板140と支持体本体152の支持面310との間に間隙D1を形成する。一実施形態においては、複数のチャネル314が支持面310上に形成され、真空ポンプ(図示せず)に接続して間隙D1内を減圧することによって基板140を支持体本体152上に固定する。チャネル314は、好ましくは、基板140に均等に吸引力を加えるために対称的なパターンに形成される。図3に示されるように、チャネル314は、円形チャネル314aと、直線チャネル314bと2対の傾斜チャネル314c/314d、314e/314fとから構成されるパターンに形成される。直線チャネル314bは、円形チャネル314a内で直径方向に形成され、傾斜チャネル対314c/314d及び314e/314fは直線チャネル314bからそれぞれ延び且つ互いに鏡対称となる。本願に記載のチャネルパターンは例として挙げたにすぎず、本発明はこれに限定されない。] 図1 図3 [0024] 図1に戻るが、支持体本体152の下面162は、1本以上の支持ピン174によって支持される。一般に、シャフト154は支持体本体152の下面162からチャンバ底部108を貫通して延びており、支持体本体152を、支持体本体152に下方向の力を加える弾性部材166に連結している。スリーブ168は、シャフト154の一部を取り囲む。一実施形態において、スリーブ168は支持片170の底部に連結される。スリーブ168の底部は、ベース176に連結される。ベース176は1個以上の穴178を有し、この穴を通って1本以上のポスト180が延びている。1本以上のポスト180は、シャフト154の底部とチャンバ取り付け台182との間に位置決めされる。弾性部材166は、チャンバ取り付け台182と支持体本体152との間に連結される。弾性部材166は、板ばね、圧縮ばね、薄板ばね、円錐コイルばね等のばね装置を含み得るが、望ましい特性を付与するいずれの弾性又は曲げることのできるワイヤであってもよい。支持体本体152が加熱の結果として上方向に湾曲すると、弾性部材166がその制御力によって支持体本体152を下方向に引っ張って反りを予防し、その結果、基板140の平坦性も確保される。] 図1 [0025] 図6及び図7を参照するが、図6は、本発明の一実施形態による真空チャックアセンブリの底面図であり、図7は本発明の一実施形態による図1の処理チャンバ100及び真空チャックアセンブリ150の拡大部分断面図である。真空チャックアセンブリ150の下面162は、1本以上の支持ピン174と係合する1つ以上のスロットを有する。一実施形態において、下面162は3つのスロット610、612、614を有し、各スロットは各支持ピン174と係合するように構成される。3つのスロット610、612、614は3本の支持ピン174と係合するように図示されているが、支持体本体152を支持するのに十分ないずれの数のスロット及び支持ピンも使用し得ることを理解すべきである。弾性部材166がその制御力でもって支持体本体152を下方向に引っ張って支持体本体152の反りを軽減する際、支持ピン174が支持体本体152に対する上方向の力を付与する。貫通孔616、618、620が支持体本体152を貫通して形成されているため、基板昇降ピン(図示せず)を支持体本体152に通して基板を支持面310から上昇させたり下降させたりすることができる。支持体本体152の下面162の中心には、挿入領域630が形成される。挿入領域630は、熱電対等の温度監視装置及び電気コネクタ等の電源装置のために使用し得る。] 図1 図6 図7 [0026] 図8Aは、本発明の一実施形態による電気コネクタの断面図であり、図8Bは、本発明の一実施形態による加熱要素を備えた真空チャックアセンブリの部分断面図である。一実施形態において、真空チャックアセンブリ150は更に、少なくとも1つの電気コネクタアセンブリ160を備え、このアセンブリ160は1つ以上の電気コネクタ820a、820b及びレセプタクル830を備え、レセプタクル830はその内部に形成された1本以上の冷却チャネル810a、810b、810cを有する。電気コネクタアセンブリ160は、電力をチャックアセンブリ150に供給するためにシャフト154を通して配置される。1本以上の冷却チャネル810a、810b、810cを使用して空気の流路とすることによって電気コネクタアセンブリ160付近の空冷を行い、これによって電気コネクタアセンブリ160の加熱中の過熱又は溶融を防止する。] 図8A 図8B [0027] 電気コネクタアセンブリ160は雄型コネクタ部材820a、820bを備え、部材820a、820bは一般に電極コネクタ822に連結され、電極コネクタ822は、電力を加熱要素156に供給するために加熱要素156に連結される。電気コネクタアセンブリ160は、雄型コネクタ部材820a、820bを受容するための相補的なレセプタクル830を有する。この相補的なレセプタクル830は、雄型ピンコネクタ部材820a、820bを受容するための、相補的な弾性バナナコネクタであってもよい雌型バナナコネクタを含み得る。一実施形態において、1本以上の冷却チャネル810は、雄型ピンコネクタ820a、820bに隣接して配置される。1本以上の冷却チャネル810a、810b、810cは冷却流体供給源840に連結される。冷却流体供給源840は、空気、水、グリコール又はこれらの組み合わせ等の冷却流体を含む。電気コネクタアセンブリ160は、電源870に連結される。] [0028] 上記の実施形態により、本発明は、突起の上面を平坦化するだけでチャック支持面の平坦化を簡略化し、支持体本体表面と基板との表面接触面積を減少させることによって粒子汚染を軽減し、突起とバネ系の設置を通して支持面の平坦性を確保することによってアニールレーザーの焦点深度を改善し、空冷を通して電気コネクタの過熱又は溶融を防止するという利点を有する。] [0029] 上記は本発明の実施形態を対象としているが、本発明のその他及び更なる実施形態は本発明の基本的な範囲から逸脱することなく創作することができ、本発明の範囲は以下の特許請求の範囲に基づいて定められる。]
权利要求:
請求項1 基板を保持するための支持面を有する支持体本体と、支持面上に形成された、基板と支持面との間に間隙を形成するための支持面から突出する複数の突起と、間隙内を減圧するための、支持面上に形成された複数のチャネルと、支持体本体を支持するための少なくとも1つの支持部材と、少なくとも1つの支持部材に連結された少なくとも1つの弾性部材とを備える真空チャックアセンブリ。 請求項2 少なくとも1つの弾性部材が、支持体本体が加熱の結果として上方向に湾曲した場合に支持体本体を下方向に引っ張る制御力を付与する請求項1記載の真空チャックアセンブリ。 請求項3 少なくとも1つの弾性部材が少なくとも1つのばねである請求項2記載の真空チャックアセンブリ。 請求項4 支持体本体を支持するための中空シャフトと、中空シャフト内に配置された少なくとも1つの電気コネクタアセンブリとを更に備え、少なくとも1つの電気コネクタアセンブリが、空気の流路となって電気コネクタアセンブリ付近の空冷を行なう1本以上の冷却チャネルを有する請求項1記載の真空チャックアセンブリ。 請求項5 電気コネクタアセンブリが、雄型コネクタ部材を受容するための相補的なレセプタクルを備え、1本以上の冷却チャネルが雄型コネクタ部材に隣接して配置される請求項4記載の真空チャックアセンブリ。 請求項6 複数のチャネルが、基板に均等に吸引力を加えるために対称的なパターンに形成される請求項1記載の真空チャックアセンブリ。 請求項7 複数のチャネルが、円形チャネルと、直線チャネルと、2対の傾斜チャネルとを含むパターンに形成され、直線チャネルが円形チャネル内で直径方向に形成され、傾斜チャネル対が直線チャネルからそれぞれ延び且つ互いに鏡対称となる請求項6記載の真空チャックアセンブリ。 請求項8 突起が10ミクロン〜50ミクロンの高さを有する請求項1記載の真空チャックアセンブリ。 請求項9 突起が500ミクロン〜5000ミクロンの直径を有する請求項8記載の真空チャックアセンブリ。 請求項10 突起が25ミクロンの高さを有する請求項9記載の真空チャックアセンブリ。 請求項11 100〜500個の突起が支持面上に形成される請求項1記載の真空チャックアセンブリ。 請求項12 突起が平坦化された上面を備えた半球形状を有する請求項1記載の真空チャックアセンブリ。 請求項13 支持体本体に少なくとも1つの埋設加熱要素が封入され、加熱要素が電気コネクタアセンブリを介して電源に連結され、支持体本体及びその上に位置決めされた基板を既定の温度にまで制御下で加熱する請求項4記載の真空チャックアセンブリ。 請求項14 複数のチャネルが、円形チャネルと、直線チャネルと、2対の傾斜チャネルとを含むパターンに形成され、直線チャネルが円形チャネル内で直径方向に形成され、傾斜チャネル対が直線チャネルからそれぞれ延び且つ互いに鏡対称となる請求項13記載の真空チャックアセンブリ。 請求項15 支持体本体の下面が、1本以上の支持ピンと係合する1つ以上のスロットを有し、1本以上の支持ピンが、弾性部材がその制御力でもって支持体本体を下方向に引っ張って支持体本体の反りを軽減する際に、支持体本体に対する上方向の力を付与する請求項1記載の真空チャックアセンブリ。
类似技术:
公开号 | 公开日 | 专利标题 US10607867B2|2020-03-31|Bolted wafer chuck thermal management systems and methods for wafer processing systems TWI633622B|2018-08-21|溫度控制基板支撐組件 US9741593B2|2017-08-22|Thermal management systems and methods for wafer processing systems KR102115745B1|2020-05-27|정전 척 US8698049B2|2014-04-15|Rapid thermal processing lamphead with improved cooling US7417206B2|2008-08-26|Heater, wafer heating apparatus and method for manufacturing heater US7244311B2|2007-07-17|Heat transfer system for improved semiconductor processing uniformity JP4881319B2|2012-02-22|基板を空間的かつ時間的に温度制御するための装置 JP6054314B2|2016-12-27|基板搬送及びラジカル閉じ込めのための方法及び装置 US7860379B2|2010-12-28|Temperature measurement and control of wafer support in thermal processing chamber CN101241844B|2010-09-22|用于前段工艺制造的原地干洗腔 US20190304825A1|2019-10-03|Dual temperature heater JP4450106B1|2010-04-14|載置台構造及び処理装置 TWI515773B|2016-01-01|熱處理裝置 TWI373810B|2012-10-01|Substrate processing with rapid temperature gradient control JP4833859B2|2011-12-07|流体用ギャップを有する基板ホルダとこの基板ホルダの製造方法 JP4786177B2|2011-10-05|サセプタを含む処理チャンバ内で半導体基板を加熱するプロセスおよびシステム US6440221B2|2002-08-27|Process chamber having improved temperature control KR101691044B1|2016-12-29|기판의 표면에 걸친 온도 프로파일을 방사상으로 튜닝하는 정전 척 시스템 및 방법 US20130286530A1|2013-10-31|Methods and apparatus toward preventing esc bonding adhesive erosion KR101070667B1|2011-10-07|기판 처리 장치, 가열 장치 및 반도체 장치의 제조 방법 KR100674269B1|2007-01-24|플라즈마 처리 장치용 전극 및 플라즈마 처리 장치 JP4361045B2|2009-11-11|プラズマ処理装置及びプラズマ処理方法 TWI693673B|2020-05-11|具有利用led加熱的靜電夾具的設備及利用led加熱的靜電夾具 CN100440422C|2008-12-03|具有动态温度控制的基片支架
同族专利:
公开号 | 公开日 EP2235748B1|2017-09-06| WO2009091640A2|2009-07-23| US20120205878A1|2012-08-16| US8198567B2|2012-06-12| US20090179365A1|2009-07-16| TWI454336B|2014-10-01| WO2009091640A3|2009-10-08| EP2235748A2|2010-10-06| JP5481390B2|2014-04-23| TW200940246A|2009-10-01| EP2235748A4|2011-08-10| US8698048B2|2014-04-15|
引用文献:
公开号 | 申请日 | 公开日 | 申请人 | 专利标题 JPH02304449A|1989-05-19|1990-12-18|Hitachi Electron Eng Co Ltd|Substrate chuck mechanism| JP2006121054A|2004-09-15|2006-05-11|Applied Materials Inc|Pecvdサセプタ支持構造体|JP2015065327A|2013-09-25|2015-04-09|太平洋セメント株式会社|Vacuum adsorption device|US4609037A|1985-10-09|1986-09-02|Tencor Instruments|Apparatus for heating and cooling articles| US4842683A|1986-12-19|1989-06-27|Applied Materials, Inc.|Magnetic field-enhanced plasma etch reactor| US5228501A|1986-12-19|1993-07-20|Applied Materials, Inc.|Physical vapor deposition clamping mechanism and heater/cooler| JPH0784162B2|1988-07-25|1995-09-13|東海興業株式会社|ウェザーストリップ、及びその成形方法| US5348497A|1992-08-14|1994-09-20|Applied Materials, Inc.|High voltage vaccum feed-through electrical connector| US5350479A|1992-12-02|1994-09-27|Applied Materials, Inc.|Electrostatic chuck for high power plasma processing| US5625526A|1993-06-01|1997-04-29|Tokyo Electron Limited|Electrostatic chuck| US5511799A|1993-06-07|1996-04-30|Applied Materials, Inc.|Sealing device useful in semiconductor processing apparatus for bridging materials having a thermal expansion differential| JP3162886B2|1993-09-28|2001-05-08|東京エレクトロン株式会社|処理装置| KR20000062459A|1999-01-13|2000-10-25|조셉 제이. 스위니|온도 제어 및 파열 저항성이 개선된 정전기 척| US5522937A|1994-05-03|1996-06-04|Applied Materials, Inc.|Welded susceptor assembly| US5968379A|1995-07-14|1999-10-19|Applied Materials, Inc.|High temperature ceramic heater assembly with RF capability and related methods| US5633073A|1995-07-14|1997-05-27|Applied Materials, Inc.|Ceramic susceptor with embedded metal electrode and eutectic connection| US6113702A|1995-09-01|2000-09-05|Asm America, Inc.|Wafer support system| US5656093A|1996-03-08|1997-08-12|Applied Materials, Inc.|Wafer spacing mask for a substrate support chuck and method of fabricating same| US5761023A|1996-04-25|1998-06-02|Applied Materials, Inc.|Substrate support with pressure zones having reduced contact area and temperature feedback| US5720818A|1996-04-26|1998-02-24|Applied Materials, Inc.|Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck| US5764471A|1996-05-08|1998-06-09|Applied Materials, Inc.|Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck| US6066836A|1996-09-23|2000-05-23|Applied Materials, Inc.|High temperature resistive heater for a process chamber| JPH10284360A|1997-04-02|1998-10-23|Hitachi Ltd|基板温度制御装置及び方法| US6118100A|1997-11-26|2000-09-12|Mattson Technology, Inc.|Susceptor hold-down mechanism| US6019166A|1997-12-30|2000-02-01|Intel Corporation|Pickup chuck with an integral heatsink| US6019164A|1997-12-31|2000-02-01|Temptronic Corporation|Workpiece chuck| US6072685A|1998-05-22|2000-06-06|Applied Materials, Inc.|Electrostatic chuck having an electrical connector with housing| TW484184B|1998-11-06|2002-04-21|Canon Kk|Sample separating apparatus and method, and substrate manufacturing method| US6151203A|1998-12-14|2000-11-21|Applied Materials, Inc.|Connectors for an electrostatic chuck and combination thereof| US6220942B1|1999-04-02|2001-04-24|Applied Materials, Inc.|CMP platen with patterned surface| US6617553B2|1999-05-19|2003-09-09|Applied Materials, Inc.|Multi-zone resistive heater| US6423949B1|1999-05-19|2002-07-23|Applied Materials, Inc.|Multi-zone resistive heater| US6464795B1|1999-05-21|2002-10-15|Applied Materials, Inc.|Substrate support member for a processing chamber| JP4430769B2|1999-12-09|2010-03-10|信越化学工業株式会社|セラミックス加熱治具| US6534751B2|2000-02-28|2003-03-18|Kyocera Corporation|Wafer heating apparatus and ceramic heater, and method for producing the same| JP2001332609A|2000-03-13|2001-11-30|Nikon Corp|基板保持装置及び露光装置| JP2002057209A|2000-06-01|2002-02-22|Tokyo Electron Ltd|枚葉式処理装置および枚葉式処理方法| KR20010111058A|2000-06-09|2001-12-15|조셉 제이. 스위니|전체 영역 온도 제어 정전기 척 및 그 제조방법| TW466667B|2000-06-29|2001-12-01|Applied Materials Inc|Electrostatic chuck having the minimum contact area| US6413321B1|2000-12-07|2002-07-02|Applied Materials, Inc.|Method and apparatus for reducing particle contamination on wafer backside during CVD process| US6753507B2|2001-04-27|2004-06-22|Kyocera Corporation|Wafer heating apparatus| US6506291B2|2001-06-14|2003-01-14|Applied Materials, Inc.|Substrate support with multilevel heat transfer mechanism| US6730175B2|2002-01-22|2004-05-04|Applied Materials, Inc.|Ceramic substrate support| US7005601B2|2002-04-18|2006-02-28|Applied Materials, Inc.|Thermal flux processing by scanning| US6682603B2|2002-05-07|2004-01-27|Applied Materials Inc.|Substrate support with extended radio frequency electrode upper surface| US20040163601A1|2003-02-26|2004-08-26|Masanori Kadotani|Plasma processing apparatus| US20040177813A1|2003-03-12|2004-09-16|Applied Materials, Inc.|Substrate support lift mechanism| US7033443B2|2003-03-28|2006-04-25|Axcelis Technologies, Inc.|Gas-cooled clamp for RTP| JP4248341B2|2003-08-18|2009-04-02|日本電子株式会社|被照射物保持機構| US7361865B2|2003-08-27|2008-04-22|Kyocera Corporation|Heater for heating a wafer and method for fabricating the same| TWI281833B|2004-10-28|2007-05-21|Kyocera Corp|Heater, wafer heating apparatus and method for manufacturing heater| US7255747B2|2004-12-22|2007-08-14|Sokudo Co., Ltd.|Coat/develop module with independent stations| US7126093B2|2005-02-23|2006-10-24|Ngk Insulators, Ltd.|Heating systems| KR20060122557A|2005-05-27|2006-11-30|삼성전자주식회사|반도체 제조 장치의 진공 척| JP2007158077A|2005-12-06|2007-06-21|Dainippon Screen Mfg Co Ltd|基板熱処理装置| JP4827569B2|2006-03-23|2011-11-30|大日本スクリーン製造株式会社|基板支持構造とこれを用いた熱処理装置と基板支持構造に用いられるシート状物と基板支持構造の製造方法| US8440049B2|2006-05-03|2013-05-14|Applied Materials, Inc.|Apparatus for etching high aspect ratio features| US20090031955A1|2007-07-30|2009-02-05|Applied Materials, Inc.|Vacuum chucking heater of axisymmetrical and uniform thermal profile|DE10216786C5|2002-04-15|2009-10-15|Ers Electronic Gmbh|Verfahren und Vorrichtung zur Konditionierung von Halbleiterwafern und/oder Hybriden| KR100867191B1|2006-11-02|2008-11-06|주식회사 유진테크|기판처리장치 및 기판처리방법| US8598050B2|2008-06-26|2013-12-03|Ihi Corporation|Laser annealing method and apparatus| JP5540476B2|2008-06-30|2014-07-02|株式会社Ihi|レーザアニール装置| US10378106B2|2008-11-14|2019-08-13|Asm Ip Holding B.V.|Method of forming insulation film by modified PEALD| US9394608B2|2009-04-06|2016-07-19|Asm America, Inc.|Semiconductor processing reactor and components thereof| US8802201B2|2009-08-14|2014-08-12|Asm America, Inc.|Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species| US8424858B2|2009-09-02|2013-04-23|GM Global Technology Operations LLC|Flexible fixture system for machining operations| JP5570938B2|2009-12-11|2014-08-13|株式会社日立国際電気|Substrate processing apparatus and semiconductor device manufacturing method| US8519729B2|2010-02-10|2013-08-27|Sunpower Corporation|Chucks for supporting solar cell in hot spot testing| JP6054314B2|2011-03-01|2016-12-27|アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated|基板搬送及びラジカル閉じ込めのための方法及び装置| JP6104823B2|2011-03-01|2017-03-29|アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated|薄型加熱基板支持体| TWI555058B|2011-03-01|2016-10-21|應用材料股份有限公司|雙負載閘配置之減弱及剝離處理腔室| US9312155B2|2011-06-06|2016-04-12|Asm Japan K.K.|High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules| US10364496B2|2011-06-27|2019-07-30|Asm Ip Holding B.V.|Dual section module having shared and unshared mass flow controllers| US20130014896A1|2011-07-15|2013-01-17|Asm Japan K.K.|Wafer-Supporting Device and Method for Producing Same| US9793144B2|2011-08-30|2017-10-17|Evatec Ag|Wafer holder and temperature conditioning arrangement and method of manufacturing a wafer| US10825708B2|2011-12-15|2020-11-03|Applied Materials, Inc.|Process kit components for use with an extended and independent RF powered cathode substrate for extreme edge tunability| JP6545460B2|2012-02-29|2019-07-17|アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated|ロードロック構成内の除害・剥離処理チャンバ| US9679751B2|2012-03-15|2017-06-13|Lam Research Corporation|Chamber filler kit for plasma etch chamber useful for fast gas switching| CN102615520A|2012-03-30|2012-08-01|浙江大学宁波理工学院|复合材料薄壁件夹具及使用该夹具加工复合材料的方法| US9659799B2|2012-08-28|2017-05-23|Asm Ip Holding B.V.|Systems and methods for dynamic semiconductor process scheduling| US10714315B2|2012-10-12|2020-07-14|Asm Ip Holdings B.V.|Semiconductor reaction chamber showerhead| US9484191B2|2013-03-08|2016-11-01|Asm Ip Holding B.V.|Pulsed remote plasma method and system| US9589770B2|2013-03-08|2017-03-07|Asm Ip Holding B.V.|Method and systems for in-situ formation of intermediate reactive species| CN103464800A|2013-09-23|2013-12-25|苏州凯欧机械科技有限公司|一种浮动式的新型薄壁件车削定位装置| US9240412B2|2013-09-27|2016-01-19|Asm Ip Holding B.V.|Semiconductor structure and device and methods of forming same using selective epitaxial process| US20150163860A1|2013-12-06|2015-06-11|Lam Research Corporation|Apparatus and method for uniform irradiation using secondary irradiant energy from a single light source| US10683571B2|2014-02-25|2020-06-16|Asm Ip Holding B.V.|Gas supply manifold and method of supplying gases to chamber using same| US10167557B2|2014-03-18|2019-01-01|Asm Ip Holding B.V.|Gas distribution system, reactor including the system, and methods of using the same| US9890456B2|2014-08-21|2018-02-13|Asm Ip Holding B.V.|Method and system for in situ formation of gas-phase compounds| US9657845B2|2014-10-07|2017-05-23|Asm Ip Holding B.V.|Variable conductance gas distribution apparatus and method| KR20160076208A|2014-12-22|2016-06-30|에이에스엠 아이피 홀딩 비.브이.|반도체 소자 및 그 제조 방법| US10529542B2|2015-03-11|2020-01-07|Asm Ip Holdings B.V.|Cross-flow reactor and method| US10276355B2|2015-03-12|2019-04-30|Asm Ip Holding B.V.|Multi-zone reactor, system including the reactor, and method of using the same| US10458018B2|2015-06-26|2019-10-29|Asm Ip Holding B.V.|Structures including metal carbide material, devices including the structures, and methods of forming same| US10600673B2|2015-07-07|2020-03-24|Asm Ip Holding B.V.|Magnetic susceptor to baseplate seal| US9960072B2|2015-09-29|2018-05-01|Asm Ip Holding B.V.|Variable adjustment for precise matching of multiple chamber cavity housings| US10322384B2|2015-11-09|2019-06-18|Asm Ip Holding B.V.|Counter flow mixer for process chamber| JP6637321B2|2016-02-03|2020-01-29|株式会社Screenホールディングス|熱処理用サセプタおよび熱処理装置| US10529554B2|2016-02-19|2020-01-07|Asm Ip Holding B.V.|Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches| US10468251B2|2016-02-19|2019-11-05|Asm Ip Holding B.V.|Method for forming spacers using silicon nitride film for spacer-defined multiple patterning| US10501866B2|2016-03-09|2019-12-10|Asm Ip Holding B.V.|Gas distribution apparatus for improved film uniformity in an epitaxial system| US10343920B2|2016-03-18|2019-07-09|Asm Ip Holding B.V.|Aligned carbon nanotubes| US9892913B2|2016-03-24|2018-02-13|Asm Ip Holding B.V.|Radial and thickness control via biased multi-port injection settings| US10367080B2|2016-05-02|2019-07-30|Asm Ip Holding B.V.|Method of forming a germanium oxynitride film| US10032628B2|2016-05-02|2018-07-24|Asm Ip Holding B.V.|Source/drain performance through conformal solid state doping| KR20170129475A|2016-05-17|2017-11-27|에이에스엠 아이피 홀딩 비.브이.|금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법| US10388509B2|2016-06-28|2019-08-20|Asm Ip Holding B.V.|Formation of epitaxial layers via dislocation filtering| US10612137B2|2016-07-08|2020-04-07|Asm Ip Holdings B.V.|Organic reactants for atomic layer deposition| US9859151B1|2016-07-08|2018-01-02|Asm Ip Holding B.V.|Selective film deposition method to form air gaps| US10714385B2|2016-07-19|2020-07-14|Asm Ip Holding B.V.|Selective deposition of tungsten| KR20180012727A|2016-07-27|2018-02-06|에이에스엠 아이피 홀딩 비.브이.|기판 처리 방법| US9887082B1|2016-07-28|2018-02-06|Asm Ip Holding B.V.|Method and apparatus for filling a gap| US10395919B2|2016-07-28|2019-08-27|Asm Ip Holding B.V.|Method and apparatus for filling a gap| KR20180023298A|2016-08-25|2018-03-07|에이에스엠 아이피 홀딩 비.브이.|배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법| US10410943B2|2016-10-13|2019-09-10|Asm Ip Holding B.V.|Method for passivating a surface of a semiconductor and related systems| US10643826B2|2016-10-26|2020-05-05|Asm Ip Holdings B.V.|Methods for thermally calibrating reaction chambers| US10643904B2|2016-11-01|2020-05-05|Asm Ip Holdings B.V.|Methods for forming a semiconductor device and related semiconductor device structures| US10714350B2|2016-11-01|2020-07-14|ASM IP Holdings, B.V.|Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures| US10435790B2|2016-11-01|2019-10-08|Asm Ip Holding B.V.|Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap| US10229833B2|2016-11-01|2019-03-12|Asm Ip Holding B.V.|Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures| US10468290B2|2016-11-02|2019-11-05|Ultratech, Inc.|Wafer chuck apparatus with micro-channel regions| US10134757B2|2016-11-07|2018-11-20|Asm Ip Holding B.V.|Method of processing a substrate and a device manufactured by using the method| US10340135B2|2016-11-28|2019-07-02|Asm Ip Holding B.V.|Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride| US10269558B2|2016-12-22|2019-04-23|Asm Ip Holding B.V.|Method of forming a structure on a substrate| US10655221B2|2017-02-09|2020-05-19|Asm Ip Holding B.V.|Method for depositing oxide film by thermal ALD and PEALD| US10468261B2|2017-02-15|2019-11-05|Asm Ip Holding B.V.|Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures| US10283353B2|2017-03-29|2019-05-07|Asm Ip Holding B.V.|Method of reforming insulating film deposited on substrate with recess pattern| US10529563B2|2017-03-29|2020-01-07|Asm Ip Holdings B.V.|Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures| USD859331S1|2017-03-31|2019-09-10|Ebara Corporation|Vacuum contact pad| KR20180119477A|2017-04-25|2018-11-02|에이에스엠 아이피 홀딩 비.브이.|박막 증착 방법 및 반도체 장치의 제조 방법| US10770286B2|2017-05-08|2020-09-08|Asm Ip Holdings B.V.|Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures| US10446393B2|2017-05-08|2019-10-15|Asm Ip Holding B.V.|Methods for forming silicon-containing epitaxial layers and related semiconductor device structures| US10504742B2|2017-05-31|2019-12-10|Asm Ip Holding B.V.|Method of atomic layer etching using hydrogen plasma| US10685834B2|2017-07-05|2020-06-16|Asm Ip Holdings B.V.|Methods for forming a silicon germanium tin layer and related semiconductor device structures| KR20190009245A|2017-07-18|2019-01-28|에이에스엠 아이피 홀딩 비.브이.|반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물| US10541333B2|2017-07-19|2020-01-21|Asm Ip Holding B.V.|Method for depositing a group IV semiconductor and related semiconductor device structures| US10590535B2|2017-07-26|2020-03-17|Asm Ip Holdings B.V.|Chemical treatment, deposition and/or infiltration apparatus and method for using the same| US10312055B2|2017-07-26|2019-06-04|Asm Ip Holding B.V.|Method of depositing film by PEALD using negative bias| US10605530B2|2017-07-26|2020-03-31|Asm Ip Holding B.V.|Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace| US10770336B2|2017-08-08|2020-09-08|Asm Ip Holding B.V.|Substrate lift mechanism and reactor including same| US10692741B2|2017-08-08|2020-06-23|Asm Ip Holdings B.V.|Radiation shield| US10249524B2|2017-08-09|2019-04-02|Asm Ip Holding B.V.|Cassette holder assembly for a substrate cassette and holding member for use in such assembly| USD900036S1|2017-08-24|2020-10-27|Asm Ip Holding B.V.|Heater electrical connector and adapter| US20190080951A1|2017-09-13|2019-03-14|Applied Materials, Inc.|Substrate support for reduced damage substrate backside| US10607895B2|2017-09-18|2020-03-31|Asm Ip Holdings B.V.|Method for forming a semiconductor device structure comprising a gate fill metal| US10658205B2|2017-09-28|2020-05-19|Asm Ip Holdings B.V.|Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber| US10403504B2|2017-10-05|2019-09-03|Asm Ip Holding B.V.|Method for selectively depositing a metallic film on a substrate| US10319588B2|2017-10-10|2019-06-11|Asm Ip Holding B.V.|Method for depositing a metal chalcogenide on a substrate by cyclical deposition| KR20190056158A|2017-11-16|2019-05-24|에이에스엠 아이피 홀딩 비.브이.|기판 처리 장치 방법 및 그에 의해 제조된 장치| US10290508B1|2017-12-05|2019-05-14|Asm Ip Holding B.V.|Method for forming vertical spacers for spacer-defined patterning| USD880437S1|2018-02-01|2020-04-07|Asm Ip Holding B.V.|Gas supply plate for semiconductor manufacturing apparatus| US10535516B2|2018-02-01|2020-01-14|Asm Ip Holdings B.V.|Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures| US10731249B2|2018-02-15|2020-08-04|Asm Ip Holding B.V.|Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus| US10658181B2|2018-02-20|2020-05-19|Asm Ip Holding B.V.|Method of spacer-defined direct patterning in semiconductor fabrication| US10510536B2|2018-03-29|2019-12-17|Asm Ip Holding B.V.|Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber| US10797133B2|2018-06-21|2020-10-06|Asm Ip Holding B.V.|Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures| US10612136B2|2018-06-29|2020-04-07|ASM IP Holding, B.V.|Temperature-controlled flange and reactor system including same| US10755922B2|2018-07-03|2020-08-25|Asm Ip Holding B.V.|Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition| US10388513B1|2018-07-03|2019-08-20|Asm Ip Holding B.V.|Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition| US10767789B2|2018-07-16|2020-09-08|Asm Ip Holding B.V.|Diaphragm valves, valve components, and methods for forming valve components| US10483099B1|2018-07-26|2019-11-19|Asm Ip Holding B.V.|Method for forming thermally stable organosilicon polymer film| US10811256B2|2018-10-16|2020-10-20|Asm Ip Holding B.V.|Method for etching a carbon-containing feature| US10381219B1|2018-10-25|2019-08-13|Asm Ip Holding B.V.|Methods for forming a silicon nitride film| US10818758B2|2018-11-16|2020-10-27|Asm Ip Holding B.V.|Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures| US10559458B1|2018-11-26|2020-02-11|Asm Ip Holding B.V.|Method of forming oxynitride film|
法律状态:
2012-01-07| A621| Written request for application examination|Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20120106 | 2013-03-06| A521| Written amendment|Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20130305 | 2013-04-02| A131| Notification of reasons for refusal|Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20130402 | 2013-07-02| A601| Written request for extension of time|Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20130701 | 2013-07-09| A602| Written permission of extension of time|Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20130708 | 2013-08-02| A601| Written request for extension of time|Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20130801 | 2013-08-09| A602| Written permission of extension of time|Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20130808 | 2013-08-30| A601| Written request for extension of time|Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20130829 | 2013-09-06| A602| Written permission of extension of time|Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20130905 | 2013-09-28| A521| Written amendment|Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20130927 | 2014-01-08| TRDD| Decision of grant or rejection written| 2014-01-21| A01| Written decision to grant a patent or to grant a registration (utility model)|Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20140121 | 2014-02-20| A61| First payment of annual fees (during grant procedure)|Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20140217 | 2014-02-21| R150| Certificate of patent or registration of utility model|Ref document number: 5481390 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 | 2017-02-07| R250| Receipt of annual fees|Free format text: JAPANESE INTERMEDIATE CODE: R250 | 2018-02-06| R250| Receipt of annual fees|Free format text: JAPANESE INTERMEDIATE CODE: R250 | 2019-02-12| R250| Receipt of annual fees|Free format text: JAPANESE INTERMEDIATE CODE: R250 | 2020-02-03| R250| Receipt of annual fees|Free format text: JAPANESE INTERMEDIATE CODE: R250 | 2021-01-29| R250| Receipt of annual fees|Free format text: JAPANESE INTERMEDIATE CODE: R250 | 2022-01-28| R250| Receipt of annual fees|Free format text: JAPANESE INTERMEDIATE CODE: R250 |
优先权:
[返回顶部]
申请号 | 申请日 | 专利标题 相关专利
Sulfonates, polymers, resist compositions and patterning process
Washing machine
Washing machine
Device for fixture finishing and tension adjusting of membrane
Structure for Equipping Band in a Plane Cathode Ray Tube
Process for preparation of 7 alpha-carboxyl 9, 11-epoxy steroids and intermediates useful therein an
国家/地区
|